28
Views
0
CrossRef citations to date
0
Altmetric
Research Article

Evaluation and validation of efficient hierarchical interconnection in multi-processor system on chip

, &
Received 22 Aug 2023, Accepted 02 Mar 2024, Published online: 10 Mar 2024

References

  • Ma Y, Zhou J, Chantem T, et al. Resource management for improving the overall reliability of multiprocessor systems-on-chip. Depend Embed Syst. 2021;233:1–608.
  • Patle I, Thote PB, Junghare A, et al. Speed optimization of image processing application using FPGA based multiProcessor system on chip. J Physi Sci Eng Tech. 2021;13(SUP 2):146–152.
  • Mariyappan I, Veluchamy M. GMMSO: game-model-combined improved moth search optimization approach for reconfigurable asymmetric multiprocessor system-on-chip architecture. Eng Optim. 2022;55(4):1–18. doi: 10.1080/0305215X.2021.2024815
  • Yao Y, Song Y, Ge H, et al. A communication-aware and predictive list scheduling algorithm for network-on-chip-based heterogeneous multiprocessor system-on-chip. Microelectron J. 2022;121:105367. doi: 10.1016/j.mejo.2022.105367
  • Aghapour E, Zhang Y, Pathania A, et al. Pipelined CNN Inference on Heterogeneous Multi-processor System-on-Chip. In Embedded Machine Learning for Cyber-Physical, IoT, and Edge Computing: Software Optimizations and Hardware/Software Codesign. Cham: Springer Nature Switzerland; p. 405–427.
  • Janac KC. NetworkonChip (NoC): the technology that enabled multiprocessor systems on chip (MPSoCs). Multi-Processor Syst Chip Archit. 2021;195–225.
  • Park J. Processing-node status-based message scattering and gathering for multiprocessor systems on chip. J Infor Commun Conver Eng. 2019;17(4):279–284.
  • Bhulania P, Tripathy MR, Khan MA. A low power and high-speed reconfigurable routing topology for a 2D-multi-processor system on chip. Solid State Tech. 2020;63(3):5672–5685.
  • Das MS. Architecture of multi-processor systems using networks on chip (NoC): an overview. CVR J Sci Tech. 2022;22(1):7–15.
  • Bytyn A, Ahlsdorf R, Ascheid G. ASIP-Based multiprocessor systems for an efficient implementation of CNNs. Multi-Processor Syst Chip Archit. 2021;69–84.
  • Yusuf BB, Maqsood T, Rehman F, et al. Energy-aware parallel scheduling techniques for network-on-chip based systems. IEEE Access. 2021;9:38778–38791. doi: 10.1109/ACCESS.2021.3063901
  • Salamy H. Energy-aware schedules under chip reliability constraint for multiprocessor systems-on-a-chip. J Circuit Syst Comp. 2020;29(9):2050135. doi: 10.1142/S0218126620501352
  • Kashi S, Patooghy A, Rahmati D, et al. A multi-application approach for synthesizing custom network-on-chips. J Supercomputing. 2022;78(13):15358–15380. doi: 10.1007/s11227-022-04444-0
  • Noami A, Kumar BP, Paidimarry CS, et al. High-priority arbitration for less burst data transactions for improved average waiting time of multiProcessor cores. Appl Sci And Engi Prog. 2021;14(3):397–405. doi: 10.14416/j.asep.2021.06.001
  • Uma V, Marimuthu R. D-wash–A dynamic workload-aware adaptive cache coherance protocol for multicore processor system. Microelectron J. 2023;132:105675. doi: 10.1016/j.mejo.2022.105675
  • Boroujerdian B, Jing Y, Tripathy D, et al. FARSI: an early stage design space exploration framework to tame domain-specific system-on-chip complexity. ACM Trans Embed Comput Syst. 2023;22(2):1–35. doi: 10.1145/3544016
  • Raveendran AP, Alzubi JA, Sekaran R, et al. A high performance scalable fuzzy-based modified asymmetric heterogene multiprocessor system on chip (AHt-MPSOC) reconfigurable architecture. J Int Fuzzy Syst. 2022;42(2):647–658. doi: 10.3233/JIFS-189737
  • Ponnan S, Kumar TA, Vs H, et al. Congestion aware low power on chip protocols with network on chip with cloud security. J Cloud Comp. 2022;11(1):41. doi: 10.1186/s13677-022-00307-4
  • Titare PS, Khairnar DG. MPSoC design and implementation using microblaze soft core processor architecture for faster execution of arithmetic application. Inter J High Perfor Sys Archit. 2023;11(3):156–168. doi: 10.1504/IJHPSA.2023.130214
  • Reddy BNK, Kar S. Performance evaluation of the NoC architecture based on modified meshes. Comput And Electr Eng. 2022;104:108404. doi: 10.1016/j.compeleceng.2022.108404
  • Amin W, Hussain F, Anjum S, et al. HyDra: hybrid task mapping application framework for NOC-based MPSoCs. IEEE Access; 2023.
  • Sharma A, Gaur MS, Bhargava L, et al. Pre-silicon NBTI delay-aware modeling of network-on-chip router microarchitecture. Microprocess Microsyst. 2022;91:104526. doi: 10.1016/j.micpro.2022.104526
  • Trajkovic J, Karimi S, Hangsan S, et al. Prediction modeling for the design of the application-specific communication architecture of optical NoC. ACM Trans Embed Comput Syst. 2022;21(4):1–29. doi: 10.1145/3520241
  • Irtija N, Plusquellic J, Tsiropoulou EE, et al. Design and analysis of digital communication within an SoC-based control system for trapped-ion quantum computing. IEEE Trans Quantum Eng. 2023;4:1–24. doi: 10.1109/TQE.2023.3238670
  • Rizk M, Martin KJ, Diguet JP. Run-time mapping algorithm of dataflow actors on NoC-based heterogeneous MPSoCs. IEEE Trans Parallel Distrib Syst. 2022;33(12):3959–3976. doi: 10.1109/TPDS.2022.3177957
  • Amin W, Hussain F, Anjum S. iHPSA: an improved bio-inspired hybrid optimization algorithm for task mapping in network on chip. Microprocess Microsyst. 2022;90:104493. doi: 10.1016/j.micpro.2022.104493
  • Khodabandeloo B, Khonsari A, Behnam P, et al. Stereo: assignment and scheduling in MPSoC under process variation by combining stochastic and decomposition approaches. IEEE Trans Comput. 2022;71(11):2940–2954. doi: 10.1109/TC.2022.3141841
  • Alagarsamy A, Mahilmaran S, Gopalakrishnan L, et al. SMA: a constructive partitioning-based mapping approach for networks-on-chip. Microprocess Microsyst. 2022;94:104678. doi: 10.1016/j.micpro.2022.104678
  • Xu X, Karami B, Shahsavari D. Time-dependent behavior of a porous curved nanobeam. Int J Eng Sci. 2021;160:103455. doi: 10.1016/j.ijengsci.2021.103455
  • Wang J, Yang S. Formulaic language identification model based on GCN that fuses the associated information. PeerJ Comput Sci. 2022;8:e984. doi: 10.7717/peerj-cs.984
  • Zhao Y, Wang Z. Subset simulation with adaptable intermediate failure probability for robust reliability analysis: an unsupervised learning-based approach. Struct Multidiscipl Optim. 2022;65(6):172. doi: 10.1007/s00158-022-03260-7
  • Zeng X, Wang Z, Hu Y. Enabling efficient deep convolutional neural network-based sensor fusion for autonomous driving. In Proceedings of the 59th ACM/IEEE Design Automation Conference. 2022. p. 283–288.
  • https://www.kaggle.com/code/harshwalia/3-multidimensional-grids-shared-memory-for-cuda
  • He Q, Tong H, Liu JB. How does inequality affect the residents’ subjective well-being: inequality of opportunity and inequality of effort. Front Psychol. 2022;13. doi: 10.3389/fpsyg.2022.843854
  • Liu Z, Yang D, Wang Y, et al. EGNN: graph structure learning based on evolutionary computation helps more in graph neural networks. Appl Soft Comput. 2023;135:110040. doi: 10.1016/j.asoc.2023.110040
  • Cao Z, Qian L. Crowding effect of institutional openness based on the big data algorithm on the efficiency of new energy technology innovation. Front Bioeng Biotechnol. 2023;11:11. doi: 10.3389/fbioe.2023.1178737

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.